UKHISHWA KOMKHIQIZO OMUSHA we-DWIN -Ilinde wena!

Iphromoshini yokuthengisa eshisayo ye-DWIN's T5L Smart Screen

Muva nje, i-DWIN Technology yenza uhlu lwama-LCM ahlakaniphile atholakalayo athengiswa ngokushisayo ngokusekelwe ku-T5L IC yonyaka futhi ikukhiphela inqwaba yemikhiqizo emisha.Siyakwamukela ekuthengeni.

Ama-LCM athengisa kakhulu asuselwa ku-T5L IC

Ama-LCM amasha asekelwe ku-T5L IC enegobolondo (ukufakwa kwebhande)

Izimboni ezintsha ze-UART LCMs

3

I-F-series COF smart LCMs azokhiqizwa ngobuningi maduze

Ngokusekelwe ku-DWIN's double-core T5L0 ASIC engabizi kakhulu, izikrini ezihlakaniphile ze-COF (chip ku-FPC) zinikeza wonke amasekhethi ayisisekelo ku-FPC yama-LCMs, ahlanganise i-TP (uhlaka lokufakwa kwe-RTP, kanye ne-OCA egcwele i-lamination ye-CTP), futhi ixhume ngobuningi. ama-peripheral of CPU core afana ne-IO, i-UART, AD ne-PWM nge-FPC SPI.Ngakho-ke, evezwe ngesakhiwo esilula nesincane, izindleko eziphansi nokukhiqizwa okulula, isikrini se-COF siyisinqumo esingcono kakhulu kumakhasimende azinikezele emikhiqizweni yohlelo lokusebenza elula.

Isikrini se-COF esingu-4.0-intshi

Isikrini se-COF esingu-5.0-intshi

Isikrini se-COF esingu-7.0-intshi

7

Amamodeli okuqala akhiqizwa ngobuningi

Imodeli 

Usayizi Wesikrini

(intshi) 

Isixazululo

(iphikseli)

Intengo yesithenjwa (13% i-VAT ifakiwe)

Ukuphawula 

WN

I-WTR

I-WTC

DMG32240F028_01W

2.8

320*240

45

50

\

I-engeli yokubuka ebanzi

DMG48320F035_01W

3.5

480*320

70

\

95

IPS.Ukubukeka okuhlukile kokumhlophe noma okuhlanganisiwe okumnyama.I-TP emnyama ehlanganiswe ngokuphelele ne-laminated yesikrini se-WTC.

DMG48480F040_01W

4.0

480*480

68

\

98

IPS.Ukubukeka okuhlukile kokumhlophe noma okuhlanganisiwe okumnyama.I-TP emnyama ehlanganiswe ngokuphelele ne-laminated yesikrini se-WTC.

DMG48270F043_01W

4.3

480*272

59

65

\

I-engeli yokubuka evamile

DMG80480F043_01W

4.3

480*800

68

\

95

IPS.Ukubukeka okuhlukile kokumhlophe noma okuhlanganisiwe okumnyama.I-TP emnyama ehlanganiswe ngokuphelele ne-laminated yesikrini se-WTC.

DMG85480F050_01W

5.0

480*854

76

\

110

IPS.Ukubukeka okuhlukile kokumhlophe noma okuhlanganisiwe okumnyama.I-TP emnyama ehlanganiswe ngokuphelele ne-laminated yesikrini se-WTC.

DMG80480F070_01W

7.0

800*480

95

105

\

I-engeli yokubuka evamile

Izikrini zochungechunge lwe-F zisebenzisa isixhumi esibonakalayo se-50Pin 0.5mm pitch FPC ohlangothini lomsebenzisi.

Incazelo yesixhumi esibonakalayo imi kanje.

Phina

Incazelo

I/O

Incazelo

1

+5V

I

Okokufaka kokunikezwa kwamandla, i-DC3.6-5.5V.

2

+5V

I

3

GND

GND

GND

4

GND

GND

5

GND

GND

6

AD7

I

5 okokufaka ama-ADC.ukulungiswa kwe-12-bit.I-voltage yokufaka engu-0-3.3V.

Izinga lokusampula kwedatha yesiteshi esisodwa ngu-16KHz futhi idatha ye-AD1, AD3, AD5 ne-AD7 idluliselwa ku-OS core ngesikhathi sangempela nge-UART3.Iziteshi ezi-4 zingasetshenziswa ngokuhambisana ukuze kwenyuswe izinga lamasampula libe ngu-64KSPS.Amanani we-64SPS 16bit AD angafinyelelwa ngokwenza amasampula amaningi.

7

AD6

I

8

AD5

I

9

AD3

I

10

AD1

I

11

+3.3

O

Okukhiphayo okungu-3.3V, umthwalo omkhulu ongu-150mA.

12

I-SPK

O

Ibhaza yangaphandle noma isipikha.

13

SD_CD

IO

Isixhumi esibonakalayo se-SD/SDHC.

14

SD_CK

O

15

SD_D3

IO

16

SD_D2

IO

17

SD_D1

IO

18

SD_D0

IO

19

I-PWM0

O

2 16-bit PWM okukhiphayo.

I-OS core ingalawulwa ngesikhathi sangempela nge-UART3 ngesikhathi esincane sokubuyekeza esingu-32μs.

20

I-PWM1

O

21

P3.3

IO

 

22

P3.2

IO

23

P3.1/EX1

IO

Ingasetshenziswa njengokufakwa kokuphazamiseka kwangaphandle okungu-1 ngesikhathi esifanayo, futhi isekela kokubili izinga le-voltage ephansi noma izindlela zokuphazamiseka konqenqema olulandelanayo.

24

P3.0/EX0

IO

Ingasetshenziswa njengokufakwa kokuphazamiseka kwangaphandle okungu-0 ngesikhathi esifanayo, futhi isekela kokubili izinga le-voltage ephansi noma amamodi aphazamisayo onqenqemeni olulandelanayo.

25

P2.7

IO

 

26

P2.6

IO

 

27

P2.5

IO

 

28

P2.4

IO

 

29

P2.3

IO

 

30

P2.2

IO

 

31

P2.1

IO

 

32

P2.0

IO

 

33

I-P1.7

IO

 

34

I-P1.6

IO

 

35

I-P1.5

IO

 

36

I-P1.4

IO

 

37

I-P1.3

IO

 

38

P1.2

IO

 

39

I-P1.1

IO

 

40

I-P1.0

IO

 

41

UART4_TXD

O

UART4

42

UART4_RXD

I

43

UART5_TXD

O

UART5

44

UART5_RXD

I

45

P0.0

IO

 

46

P0.1

IO

 

47

CAN_TX

O

INGABE

48

CAN_RX

I

49

UART2_TXD

O

UART2

50

UART2_RXD

I

I-DWIN High-Quality HDMI Interface Display Solution Ikhishiwe

Ngokuduma kwesixazululo sokubonisa i-HD, izikhathi eziningi zisetshenziswa kusixazululo sokubonisa isixhumi esibonakalayo se-HDMI.Kusistimu eminingi ye-Linux kanye nezixazululo zomshayeli wesistimu ye-Android, isikhombimsebenzisi se-HDMI kancane kancane sibe ukucushwa okujwayelekile.Ngalolu hlobo lombuzo, i-DWIN ihlinzeka ngesixazululo sokubonisa kubasebenzisi ngokusekelwe kuchungechunge lwethu lwezimboni.

11

Isibonelo sesixazululo sokubonisa esibonakalayo se-DWIN HDMI

Isixazululo sokubonisa isikhombimsebenzisi se-DWIN HDMI singaqhutshwa ngokuqondile inkundla evamile njengeraspberry pi 4B.

Izinzuzo zesixazululo se-DWIN

1.Imojula ye-LCD ikhiqizwa ngokuzimela yi-DWIN, singasabela ngokushesha ezidingweni zokukhetha ezihlukene zamakhasimende.

2. Ukuguga kwezinsuku ezingama-30 nokuhlolwa kwayo yonke imojula ye-DWIN LCD.I-workshop yokuguga ingasekela izingcezu eziyizigidi ezingu-2 zamamojula e-LCD ngesikhathi esifanayo, inikeze amakhasimende isiqinisekiso esiphindwe kabili sekhwalithi ephezulu kanye nokunikezwa okuqhubekayo.

3.Iphaneli yokuthinta ikhiqizwa yi-DWIN ngokuzimela.Ingaphezulu lenziwe ngengilazi epholile yekhwalithi ephezulu ye-AGC, enokudlulisa kahle, ukuqina okuphezulu nokumelana nokugqwala.Ngenkathi iqinisekisa umphumela wokubonisa, ingaphinda ivikele i-LCD.

4. Iphaneli yokuthinta ingenziwa ngendlela evumelana nezimo ngokuvumelana nemodeli ye-LCD, futhi isekela ukwenza ngokwezifiso okuhlukahlukene okufana nokuma, I-Logo kanye ne-OCA lamination.


Isikhathi sokuthumela: Nov-12-2021